haber

DAC: Avatar planlama araçları birleşik hiyerarşik veri tabanına dayanıyor

Avatar at DAC 2018

Araçlar, Synopsys tarafından getirilen bir davanın konusu olan ATopTech teknolojilerine dayanmaktadır. Bunu takiben araçlar yeniden oluşturuldu, Synopsys komutuyla aynı olan komut değiştirildi, uygulama mühendisliği yöneticisi Avatar'dan Cheng Cheng açıklandı.

by Caroline Hayes DAC

Aprisa IC tasarımı için yerleştirme, saat ağacı sentezi, yönlendirme, optimizasyon ve gömülü analiz motorlarına sahiptir. Verilog, SDc, LEF / DEF, Liberty ve GDSII dahil olmak üzere standart veri girişlerini ve çıktılarını destekler. Patentli teknolojiler, 28nm, 20nm, 16nm, 14nm, 10nm ve 7nm işlem düğümlerinde tasarımlar için yarı iletken dökümhaneleri tarafından onaylanmış yer ve rota araçlarıyla 28nm ve altındaki tasarım zorluklarını ele almak için özel olarak geliştirildi.

Yerleşim aracı, tasarım yinelemelerinin sayısını azaltmak için fiziksel uygulama sırasında tüm oturum açma senaryolarını etkin bir şekilde dahil etmek için optimizasyon için baskın senaryoları dinamik olarak ve otomatik olarak seçer.

Ayrıca, yönlendirme sırasında entegre EM denetimi ve sabitleme ile gelişmiş işlem düğümlerinin tüm EM kurallarını destekler.
Dahili analiz motorları, öngörülebilir tasarımın kapatılması için döküm onaylı çıkış araçlarıyla ilişkiliydi, açıkladı Cheng.

Başka bir özellik, imzalama zamanlaması analizine yakındır. Yerleşik zamanlayıcı, işaretleme zamanlama araçlarıyla ilişkilidir ve AOCV, SBOCV, SOCV ve LVF de dahil olmak üzere çeşitli çip üzerinde çeşitleme yöntemlerini destekler. Ayrıca grafik tabanlı ve yol tabanlı analiz ve optimizasyon ve gelişmiş sinyal bütünlüğü ve gürültü analizi destekler. Tüm zamanlama özellikleri, yakınsama hızını arttırdığı iddia edilen optimizasyon sırasında etkindir.

Renk Duyarlı DPT yönlendirmesi, DRC imzalaması sırasında çift desen teknolojisindeki ihlallerden kaçınmak için doğru yapı yöntemlerini kullanan, şirketin patentli yönlendirme teknolojisidir.

Hem UPF hem de CPF, sızıntı ve dinamik güç tahrikli optimizasyon ile düşük güç odaklı optimizasyon için desteklenir.

Apogee, Aprisa’nın analiz motoru ve veritabanını, bock ve en üst düzey zamanlama arasındaki korelasyon için paylaşır. Düşük güç tüketimi ve kalıp boyutu ile karmaşık yonga tasarımları için kesintisiz, entegre tasarım ortamı sağlar. Çok iş parçacıklı ve dağıtılmış sistem, yüksek hesaplama verimi için tasarlanmıştır.